วันเสาร์ที่ 29 มิถุนายน พ.ศ. 2562

Hello Max II EMP204T100C5

เพิ่งจะซื้อ บอร์ด Max II จากจีนมา (แน่นอนว่าราคาไม่แพง)


แล้วทดลองเขียนโปรแกรม VHDL ให้ LED ต่อกับขา 21 (ตามเลขบนบอร์ด)

library ieee;
use ieee.std_logic_1164.all;
entity Hello is
   port(
     LED_0: out std_logic -- Pin 21
   );
end Hello;

architecture rtl of Hello is
begin
   LED_0 <= '1'; -- Output to LED_0
end rtl;

ทำงานได้จริง

โปรแกรมที่ใช้ Quartus Prime 18.1.0 Lite Edition


XOR logic
ทดลองอีกที ครานี้เขียนด้วยภาษา Verilog จากตัวอย่างที่ให้มากับโปรแกรม Quartus Prime

module xor_logic (x1, x2, f);
  input x1, x2;
  output f;
  assign f = (x1 & ~x2)|(~x1 & x2);
endmodule

ให้ทำการ compile เลือกไอคอน สีเขียวรูปสามเหลี่ยม(run) แล้วค่อยกำหนดพิน 
และเมื่อคอมไพล์เสร็จ จึงค่อยกำหนดพินดังรูปนี่้

ให้พิน PIN_1, PIN_2 แทน x1, x2,  และ PIN_7 แทน f

เมื่อ กำนหนด pins ทั้งหมดแล้ว ขั้นตอนไป คือ  upload ไปยัง board MaxII emp240
 วิธีการคือ ต้องต่อกับ usb blaster ให้คลิกที่เมนู Programmer แล้วเลือก ตามรูปต่อไปนี้ แล้วให้คลิก Start รอจนครบ 100% ถือว่าสำเร็จ



ทดสอบโลจิกนี้ เหมือนกันได้จริง ต่างกันเป็นเท็จ ทดสอบกับ LED ดังรูปนี้


ตัวอย่างนี้ได้มาจาก Quartus Prime Introduction Using Verilog Designs ที่แจกให้ตอนซื้อบอร์ดมา




วันพุธที่ 26 มิถุนายน พ.ศ. 2562

Sipeed Tang / Lichee Tang

Sipeed Tang / Lichee Tang

ได้เห็น Risc-V และ FPGA ของ Sipeed Tang แล้ว มีตัว IDE ชื่อ TD โปรแกรมเล็กมาก เมื่อเทียบกับ ของ Altera กับ Xilinx 

ได้ทดลองใช้ กับ Windows 10 ติดตั้งไดรเวอร์ USB ใหม่ ที่มากับ TD_RELEASE_MAY2019_r4.5.12562_64bit.msi (แนะนำเลยว่าใข้กับรุ่นนี่ เพราะลองกับ รุ่น v.4 แล้วไดเวอร์ไม่ทำงาน นึกว่าซื้อของมาแล้ว ได้ของเสีย)

ตอนสั่งซื้อ เป็น Lichee Tang แต่ได้ของมาเป็น Sipeed ซึ่งสองร่นนี้ พิน ไม่เหมือนกัน ตรง ของ Sipeed ไม่ต้องต่อไฟ 5V แต่ใช้ไฟ จาก microUSB แทน

ทดลองทำตาม เว็บนี้ : https://tang.sipeed.com/en/ 
ใช่ได้ผล