วันพุธที่ 26 มิถุนายน พ.ศ. 2562

Sipeed Tang / Lichee Tang

Sipeed Tang / Lichee Tang

ได้เห็น Risc-V และ FPGA ของ Sipeed Tang แล้ว มีตัว IDE ชื่อ TD โปรแกรมเล็กมาก เมื่อเทียบกับ ของ Altera กับ Xilinx 

ได้ทดลองใช้ กับ Windows 10 ติดตั้งไดรเวอร์ USB ใหม่ ที่มากับ TD_RELEASE_MAY2019_r4.5.12562_64bit.msi (แนะนำเลยว่าใข้กับรุ่นนี่ เพราะลองกับ รุ่น v.4 แล้วไดเวอร์ไม่ทำงาน นึกว่าซื้อของมาแล้ว ได้ของเสีย)

ตอนสั่งซื้อ เป็น Lichee Tang แต่ได้ของมาเป็น Sipeed ซึ่งสองร่นนี้ พิน ไม่เหมือนกัน ตรง ของ Sipeed ไม่ต้องต่อไฟ 5V แต่ใช้ไฟ จาก microUSB แทน

ทดลองทำตาม เว็บนี้ : https://tang.sipeed.com/en/ 
ใช่ได้ผล

ไม่มีความคิดเห็น:

แสดงความคิดเห็น